ZCU102でLチカ

 基本は、こちらのVivado hls勉強会1(基礎編)の手順をそのまま写経すればLチカ出来ました^^
ですが、上記勉強会資料はZybo Zynq-7000用に書かれているので、160ページ辺りの制約ファイルだけ別途ZCU102用に修正が必要でしたので、差分をメモっと来ます。

SWやLEDのPIN番号?は、ZCU102のユーザガイドのP74辺りを参照しました。

multi_apuint_wrapper.xdc

# multi_apuint_wrapper.xdc 

##Switchs NESWC SW14-18
set_property PACKAGE_PIN AF15 [get_ports {In0_1[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {In0_1[0]}] 
set_property PACKAGE_PIN AG13 [get_ports {In0_1[1]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {In0_1[1]}] 
set_property PACKAGE_PIN AE15 [get_ports {In0[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {In0[0]}] 
set_property PACKAGE_PIN AE14 [get_ports {In0[1]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {In0[1]}] 

##LEDs DS37-40
set_property PACKAGE_PIN AG14 [get_ports {Dout[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {Dout[0]}] 
set_property PACKAGE_PIN AF13 [get_ports {Dout[1]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {Dout[1]}] 
set_property PACKAGE_PIN AE13 [get_ports {Dout[2]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {Dout[2]}] 
set_property PACKAGE_PIN AJ14 [get_ports {Dout[3]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {Dout[3]}] 

set_switching_activity -signal_rate 1 -static_probability .99 [get_ports] 

すごーい、Lチカたーのしー